CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA,verilog hdl

搜索资源列表

  1. QIANGDA

    0下载:
  2. 基于FPGA的抢答器,verilog HDL 源码-FPGA-based Responder, verilog HDL source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1141143
    • 提供者:
  1. LED-and-LCD-verilog-hdl

    0下载:
  2. 基于fpga开发电子时钟,显示用到led和lcd,总体设计思路-FPGA realization of research on the LCD display driver s control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:253771
    • 提供者:po
  1. FPGA-traffic-light

    1下载:
  2. 基于FPGA的交通灯控制器的设计,利用的是verilog HDL 语言。-design of traffic light based on FPGA,use the veriog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1088
    • 提供者:夏勇
  1. fpga-uart

    1下载:
  2. 基于DE2开发板的串口通信程序,使用Verilog HDL语言,-Serial communication program based on the DE2 board, using the Verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:15029546
    • 提供者:cuit2009
  1. UART

    0下载:
  2. FPGA串口通信程序,Verilog HDL语言下的UART串口通信程序-Verilog HDL UART
  3. 所属分类:Com Port

    • 发布日期:2017-04-09
    • 文件大小:1610217
    • 提供者:王伟
  1. ADDALOOP

    0下载:
  2. verilog HDL相关的学习资料,对于FPGA与Verilog HDL的初学者有很大帮助。-verilog HDL learning materials for FPGA Verilog HDL beginners.
  3. 所属分类:Other systems

    • 发布日期:2017-11-24
    • 文件大小:1931820
    • 提供者:花间
  1. digital-frequency-counter

    0下载:
  2. 基于FPGA的数字频率计,verilog hdl编写-digital frequency counter ,using verilog hdl
  3. 所属分类:Home Personal application

    • 发布日期:2017-11-26
    • 文件大小:17623
    • 提供者:毋宁
  1. shu_ma

    0下载:
  2. 基于fpga的数码管实验,用verilog hdl编写,-Verilog hdl fpga-based digital tube experiments, write,
  3. 所属分类:Other systems

    • 发布日期:2017-11-23
    • 文件大小:3207436
    • 提供者:徐俊龙
  1. fpga-draw

    0下载:
  2. 二维图形加速器设计与实现。采用Verilog HDL语言对各功能模块进行了设计,包括画线、画圆、画椭圆、多边形填充以及区域复制等,总结了一套将算法使用硬件描述语言实现的一般流程.这是本人花了50大洋买的,吐血奉献-Design and implementation of a two-dimensional graphics accelerator. Using Verilog HDL language of each functional module design, draw lines, d
  3. 所属分类:software engineering

    • 发布日期:2017-11-10
    • 文件大小:1403886
    • 提供者:王明新
  1. fpga

    0下载:
  2. 这是一个利用verilog HDL语言编写的自动频率计设计项目,能运行-This is a verilog HDL language automatic frequency meter design projects, and be able to run
  3. 所属分类:Software Testing

    • 发布日期:2017-11-30
    • 文件大小:1139124
    • 提供者:徐睿
  1. FIFO

    0下载:
  2. 三种同步方式实现的FIFO,verilog HDL,FPGA,更好理解FIFO-The three implemented synchronously FIFO, Verilog HDL, FPGA, a better understanding of the FIFO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:8208
    • 提供者:fan
  1. DE2-70

    0下载:
  2. DE2-70 FPGA开发板学习实例及代码,Verilog HDL-DE2-70 FPGA development board learning examples and code, Verilog HDL
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-03
    • 文件大小:5267198
    • 提供者:李波
  1. motor_basic

    1下载:
  2. 基于FPGA的直流电机闭环控制,Verilog HDL编写的源代码-DC motor closed-loop control based on FPGA, Verilog source code written in HDL DC motor closed-loop control based on FPGA, the Verilog HDL source code
  3. 所属分类:Other systems

    • 发布日期:2015-07-15
    • 文件大小:315392
    • 提供者:sjq
  1. zhu

    0下载:
  2. 基于FPGA的SPI接口设计,主模式,频率可调,verilog HDL编写-FPGA-based SPI interface design, master mode, adjustable frequency, verilog HDL prepared
  3. 所属分类:Other systems

    • 发布日期:2017-12-10
    • 文件大小:3497
    • 提供者:毛毛
  1. verilog-hdl

    0下载:
  2. 很少有完整介绍ISE环境下FPGA开发的资料,这是在Xilinx ISE开发平台下进行FPGA设计比较好的教程,感觉挺不错的-there is few full descr iption ISE FPGA development environment information, which is in the Xilinx ISE development platform for FPGA design is a good tutorial, I feel pretty good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6459799
    • 提供者:龙 斌
  1. clock_gating

    0下载:
  2. 在FPGA里运用Verilog HDL编写实现门控时钟,而不产生毛刺-In the FPGA using Verilog HDL prepared to achieve clock gating, without glitches
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:243850
    • 提供者:姜敏敏
  1. chaoche

    0下载:
  2. 基于FPGA的Verilog HDL编写的黑线控制小车直线,及检测黑线延迟减速的设计。-FPGA-based Verilog HDL prepared to control the car straight black lines, and testing the black wire delay reducer design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:418175
    • 提供者:姜敏敏
  1. mux4booth

    0下载:
  2. fpga 使用verilog hdl 语言,quartusii 9.0编程环境,使用2booth算法设计的4bit乘法器。可以扩展为16bit乘法器。-fpga verilog hdl ,quartusii 9.0 ,2booth 4bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:718509
    • 提供者:andrew
  1. top_module

    0下载:
  2. fpga 使用verilog hdl 语言,quartusii 9.0编程环境,设计的4个led灯分别实现不同功能,然后由一个顶层文件调用,完成总的设计。-fpga using verilog hdl language, quartusii 9.0 programming environment designed four different functions, respectively, led lights, followed by a top-level document called,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:387430
    • 提供者:andrew
  1. C6474L_EVM_RTL

    0下载:
  2. TI C6474评估板的fpga源代码,初始化板子必备代码,Verilog HDL硬件语言编写。-TI C6474 evaluation board fpga source code, the code necessary to initialize the board, Verilog HDL hardware language.
  3. 所属分类:Communication

    • 发布日期:2017-03-27
    • 文件大小:45768
    • 提供者:凤园
« 1 2 3 4 5 67 8 9 10 11 ... 17 »
搜珍网 www.dssz.com